X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/2268f768ef2c56c06901b433175700a68d371dcc..361ec26f7bd0c6ecd99a7eac5112d4c61205b82f:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index c54fa40..68188ac 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -72,6 +72,8 @@ PORT( mdc_pad_o : OUT std_logic; md_pad_o : OUT std_logic; md_padoe_o : OUT std_logic; + m_wb_cti_o : OUT std_logic_vector(2 downto 0); + m_wb_bte_o : OUT std_logic_vector(1 downto 0); int_o : OUT std_logic ); END COMPONENT; @@ -202,19 +204,22 @@ signal md_padoe_o : std_logic; signal int_o : std_logic; signal wbm_adr_o : std_logic_vector(31 downto 0); +signal m_wb_cti_o : std_logic_vector(2 downto 0); +signal m_wb_bte_o : std_logic_vector(1 downto 0); + BEGIN -PCI_RSTn <= not pci_rst_o when (pci_rst_oe_o = '1') else 'Z'; -PCI_INTAn <= not pci_inta_o when (pci_inta_oe_o = '1') else 'Z'; -PCI_REQn <= not pci_req_o when (pci_req_oe_o = '1') else 'Z'; -PCI_FRAMEn <= not pci_frame_o when (pci_frame_oe_o = '1') else 'Z'; -PCI_IRDYn <= not pci_irdy_o when (pci_irdy_oe_o = '1') else 'Z'; -PCI_DEVSELn <= not pci_devsel_o when (pci_devsel_oe_o = '1') else 'Z'; -PCI_TRDYn <= not pci_trdy_o when (pci_trdy_oe_o = '1') else 'Z'; -PCI_STOPn <= not pci_stop_o when (pci_stop_oe_o = '1') else 'Z'; +PCI_RSTn <= pci_rst_o when (pci_rst_oe_o = '1') else 'Z'; +PCI_INTAn <= pci_inta_o when (pci_inta_oe_o = '1') else 'Z'; +PCI_REQn <= pci_req_o when (pci_req_oe_o = '1') else 'Z'; +PCI_FRAMEn <= pci_frame_o when (pci_frame_oe_o = '1') else 'Z'; +PCI_IRDYn <= pci_irdy_o when (pci_irdy_oe_o = '1') else 'Z'; +PCI_DEVSELn <= pci_devsel_o when (pci_devsel_oe_o = '1') else 'Z'; +PCI_TRDYn <= pci_trdy_o when (pci_trdy_oe_o = '1') else 'Z'; +PCI_STOPn <= pci_stop_o when (pci_stop_oe_o = '1') else 'Z'; PCI_PAR <= pci_par_o when (pci_par_oe_o = '1') else 'Z'; -PCI_PERRn <= not pci_perr_o when (pci_perr_oe_o = '1') else 'Z'; -PCI_SERRn <= not pci_serr_o when (pci_serr_oe_o = '1') else 'Z'; +PCI_PERRn <= pci_perr_o when (pci_perr_oe_o = '1') else 'Z'; +PCI_SERRn <= pci_serr_o when (pci_serr_oe_o = '1') else 'Z'; MD_PAD_IO <= md_pad_o when (md_padoe_o = '1') else 'Z'; BLA1: FOR i in 31 downto 0 generate @@ -240,8 +245,8 @@ Inst_pci_bridge32: pci_bridge32 PORT MAP( wbs_cyc_i => m_wb_cyc_o, wbs_stb_i => m_wb_stb_o, wbs_we_i => m_wb_we_o, - wbs_cti_i => (others => '0'), - wbs_bte_i => (others => '0'), + wbs_cti_i => m_wb_cti_o, + wbs_bte_i => m_wb_bte_o, wbs_ack_o => m_wb_ack_i, -- wbs_rty_o => , wbs_err_o => m_wb_err_i, @@ -258,16 +263,16 @@ Inst_pci_bridge32: pci_bridge32 PORT MAP( wbm_rty_i => '0', wbm_err_i => wb_err_o, pci_clk_i => PCI_CLOCK, - pci_rst_i => not PCI_RSTn, + pci_rst_i => PCI_RSTn, pci_rst_o => pci_rst_o , pci_rst_oe_o => pci_rst_oe_o, - pci_inta_i => not PCI_INTAn, + pci_inta_i => PCI_INTAn, pci_inta_o => pci_inta_o, pci_inta_oe_o => pci_inta_oe_o, pci_req_o => pci_req_o, pci_req_oe_o => pci_req_oe_o, - pci_gnt_i => not PCI_GNTn, - pci_frame_i => not PCI_FRAMEn, + pci_gnt_i => PCI_GNTn, + pci_frame_i => PCI_FRAMEn, pci_frame_o => pci_frame_o, pci_frame_oe_o => pci_frame_oe_o, pci_irdy_oe_o => pci_irdy_oe_o, @@ -276,23 +281,23 @@ Inst_pci_bridge32: pci_bridge32 PORT MAP( pci_stop_oe_o => pci_stop_oe_o, pci_ad_oe_o => pci_ad_oe_o, pci_cbe_oe_o => pci_cbe_oe_o, - pci_irdy_i => not PCI_IRDYn, + pci_irdy_i => PCI_IRDYn, pci_irdy_o => pci_irdy_o, pci_idsel_i => PCI_IDSEL, - pci_devsel_i => not PCI_DEVSELn, + pci_devsel_i => PCI_DEVSELn, pci_devsel_o => pci_devsel_o, - pci_trdy_i => not PCI_TRDYn, + pci_trdy_i => PCI_TRDYn, pci_trdy_o => pci_trdy_o, - pci_stop_i => not PCI_STOPn, + pci_stop_i => PCI_STOPn, pci_stop_o => pci_stop_o, pci_ad_i => PCI_AD, pci_ad_o => pci_ad_o, - pci_cbe_i => not PCI_CBEn, + pci_cbe_i => PCI_CBEn, pci_cbe_o => pci_cbe_o, pci_par_i => PCI_PAR, pci_par_o => pci_par_o, pci_par_oe_o => pci_par_oe_o, - pci_perr_i => not PCI_PERRn, + pci_perr_i => PCI_PERRn, pci_perr_o => pci_perr_o, pci_perr_oe_o => pci_perr_oe_o, pci_serr_o => pci_serr_o, @@ -334,6 +339,8 @@ Inst_eth_top: eth_top PORT MAP( md_pad_i => MD_PAD_IO, md_pad_o => md_pad_o, md_padoe_o => md_padoe_o, + m_wb_cti_o => m_wb_cti_o, + m_wb_bte_o => m_wb_bte_o, int_o => int_o );