X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/23944ea1558725bf7722ae42f0e5b52ed958eded..7a6a1ff70fc526bd7f7feacdf2f25ee77f779260:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index d1a47da..611e9c0 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -32,6 +32,8 @@ PORT( MD_PAD_IO : INOUT std_logic; MDC_PAD_O : OUT std_logic; + PHY_CLOCK : OUT std_logic; + LED_2 : OUT std_logic ); end ethernet; @@ -220,7 +222,6 @@ signal md_pad_o : std_logic; signal md_padoe_o : std_logic; signal int_o : std_logic; signal wbm_adr_o : std_logic_vector(31 downto 0); -signal wb_stb_i_helper : std_logic_vector(31 downto 0); signal m_wb_cti_o : std_logic_vector(2 downto 0); signal m_wb_bte_o : std_logic_vector(1 downto 0); @@ -253,12 +254,11 @@ BLA2: FOR i in 3 downto 0 generate PCI_CBEn(i) <= pci_cbe_o(i) when (pci_cbe_oe_o(i) = '1') else 'Z'; end generate; -wb_adr_i <= wbm_adr_o (11 downto 2); - -wb_stb_i_helper(32 downto 8) <= (others => '0'); -wb_stb_i_helper(7 downto 0) <= wbm_adr_o (7 downto 0); +wb_adr_i(11 downto 8) <= (others => '0'); +wb_adr_i(7 downto 2) <= wbm_adr_o (7 downto 2); wb_clk_i <= PCI_CLOCK; +PHY_CLOCK <= PCI_CLOCK; data(31 downto 0) <= wbm_adr_o; data(40 downto 33) <= wbm_adr_o (7 downto 0); @@ -350,7 +350,7 @@ Inst_eth_top: eth_top PORT MAP( wb_sel_i => wb_sel_i , wb_we_i => wb_we_i , wb_cyc_i => wb_cyc_i , - wb_stb_i => wb_stb_i_helper , + wb_stb_i => wb_stb_i, wb_ack_o => wb_ack_o , wb_err_o => wb_err_o , m_wb_adr_o => m_wb_adr_o,