X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/2d8e1276d2533e26fcbc241b34dcc0af17eed492..b125f15f3b0eae442aaf821107ace650aa3a722e:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index accd1a3..97294be 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -109,7 +109,7 @@ port ( ); end component; -component wb_7seg_new +component wb_fifo port ( clk_i : in std_logic; nrst_i : in std_logic; @@ -125,8 +125,11 @@ port ( wb_err_o : out std_logic; wb_int_o : out std_logic; - DISP_SEL : inout std_logic_vector(3 downto 0); - DISP_LED : out std_logic_vector(6 downto 0) + fifo_data_i : in std_logic_vector(7 downto 0); + fifo_data_o : out std_logic_vector(7 downto 0) + + fifo_we_out : out std_logic; + fifo_re_out : out std_logic; ); end component;