X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/2e92b1a116510750a30acf463cbe53ef2f0e8648..7c54167d1f3a37b18f51ebe28d8820f04438bbc4:/dhwk/source/pci/config_space_header.vhd diff --git a/dhwk/source/pci/config_space_header.vhd b/dhwk/source/pci/config_space_header.vhd index 363e4c1..1adc96a 100644 --- a/dhwk/source/pci/config_space_header.vhd +++ b/dhwk/source/pci/config_space_header.vhd @@ -1,5 +1,3 @@ --- VHDL model created from schematic config_space_header.sch -- Jan 09 09:34:16 2007 - LIBRARY ieee; USE ieee.std_logic_1164.ALL;