X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/30273618403fd6512926c89f999f97b4722e1709..79de9a8ac035589f97f62a57ad7a9a5f30d7877f:/dhwk/source/ser_par_con.vhd diff --git a/dhwk/source/ser_par_con.vhd b/dhwk/source/ser_par_con.vhd index 7c6978d..d68124d 100644 --- a/dhwk/source/ser_par_con.vhd +++ b/dhwk/source/ser_par_con.vhd @@ -1,4 +1,4 @@ --- $Id: ser_par_con.vhd,v 1.1 2007-03-11 08:55:29 sithglan Exp $ +-- $Id: ser_par_con.vhd,v 1.3 2007-03-11 12:24:35 sithglan Exp $ library ieee; use ieee.std_logic_1164.all;