X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/36a53ce255c40f7051820ffbaaac1dd646a83bfb..40a1f26c3a09dbd1f71f4fd7f3aca74f387a09db:/heartbeat/source/heartbeat.vhd diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index cae72cd..a396160 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -46,7 +46,7 @@ if (rising_edge(clk_i)) then led9_o <= state(7); counter := counter + 1; if counter = divider then - if state(7) = '1' then + if state(3) = '1' then direction := '1'; end if;