X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/552792882a2a0b5319b54a6754fccbb7757f99c1..8bf65a1318cd073df6faa690029090c474a54ef1:/heartbeat/Makefile diff --git a/heartbeat/Makefile b/heartbeat/Makefile index 8b33636..efa7ed3 100644 --- a/heartbeat/Makefile +++ b/heartbeat/Makefile @@ -1,10 +1,15 @@ PWD := $(shell pwd) +PROJECT := raggedstone + +#auto|lpt1|lpt2|lpt3|com1|com2|com3|com4|usb0|usb1|usb2|usb21|ttya|ttyb|tty00|tty01 +CABLE ?= auto + INTSTYLE := silent -PROJECT := raggedstone +SOURCES = $(wildcard sources/*.v source/*.vhd) -all: xst ngdbuild map par trace prom final +all: $(PROJECT).bit final log: time make all &>build.log @@ -13,7 +18,7 @@ xst: $(PROJECT).ngc ngdbuild: $(PROJECT).ngd -$(PROJECT).ngc: +$(PROJECT).ngc: $(SOURCES) @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1 echo work > $(PROJECT).lso xst -intstyle $(INTSTYLE) -ifn $(PROJECT).xst -ofn $(PROJECT).syr @@ -22,39 +27,43 @@ $(PROJECT).ngc: $(PROJECT).ngd: $(PROJECT).ngc ngdbuild -intstyle $(INTSTYLE) -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf -p xc3s1500-fg456-4 $(PROJECT).ngc $(PROJECT).ngd -map: +$(PROJECT)_map.ngm $(PROJECT).pcf: $(PROJECT).ngd map -intstyle $(INTSTYLE) -p xc3s1500-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf -par: +$(PROJECT).ncd: $(PROJECT)_map.ngm $(PROJECT).pcf @#par -w -intstyle $(INTSTYLE) -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf par -w -intstyle $(INTSTYLE) -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf -trace: +$(PROJECT).twx: $(PROJECT).ncd trce -intstyle $(INTSTYLE) -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf @#cat $(PROJECT).twr -prom: +$(PROJECT).bit: $(PROJECT).ncd bitgen -intstyle $(INTSTYLE) -f $(PROJECT).ut $(PROJECT).ncd @# cp $(PROJECT).bit ../jcarr_last.bit @#cat $(PROJECT).drc @#cat $(PROJECT).bgn -mcs: - promgen -intstyle $(INTSTYLE) -w -p mcs -u 0 $(PROJECT) -o pci1 pci2 -x xcf02s xcf04s +$(PROJECT)-xcf02s.mcs $(PROJECT)-xcf04s.mcs: $(PROJECT).bit + promgen -intstyle $(INTSTYLE) -w -p mcs -u 0 $(PROJECT) -o $(PROJECT)-xcf02s $(PROJECT)-xcf04s -x xcf02s xcf04s final: -@grep -A 8 -B 1 ^Selected\ Device $(PROJECT).syr -@grep -A 8 -B 1 ^Timing\ Summary $(PROJECT).syr -@grep -A 21 -B 1 ^Design\ Summary $(PROJECT)_map.map -burn: +burn: $(PROJECT).bit xc3sprog $(PROJECT).bit -load: - impact -batch xc3s1500.batch +load: $(PROJECT).bit + @sed -e "s|%CABLE%|$(CABLE)|g" xc3s1500.batch >xc3s1500.batch.tmp + impact -batch xc3s1500.batch.tmp + @rm xc3s1500.batch.tmp -flash: mcs - impact -batch xcf.batch +flash: $(PROJECT)-xcf02s.mcs $(PROJECT)-xcf04s.mcs + @sed -e "s|%CABLE%|$(CABLE)|g" xcf.batch >xcf.batch.tmp + impact -batch xcf.batch.tmp + @rm xcf.batch.tmp clean: @rm -rf *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd \ @@ -63,3 +72,5 @@ clean: _ngo xst \ build.log \ $(PROJECT).unroutes *.xml + +.PHONY: all final burn load flash clean xst ngdbuild log