X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/696ded12bcd3df47aeefae2c685029a799d84abd..2612d712ff5ef3f17a3d55ae22c71a1913fa1fee:/dhwk/source/config_08h.vhd diff --git a/dhwk/source/config_08h.vhd b/dhwk/source/config_08h.vhd index fe9e6c4..7b19df6 100644 --- a/dhwk/source/config_08h.vhd +++ b/dhwk/source/config_08h.vhd @@ -7,22 +7,22 @@ library IEEE; use IEEE.std_logic_1164.all; entity CONFIG_08H is - port - ( - REVISION_ID :in std_logic_vector ( 7 downto 0); - CONF_DATA_08H :out std_logic_vector (31 downto 0) - ); + port + ( + REVISION_ID :in std_logic_vector ( 7 downto 0); + CONF_DATA_08H :out std_logic_vector (31 downto 0) + ); end entity CONFIG_08H; architecture CONFIG_08H_DESIGN of CONFIG_08H is --- PCI Configuration Space Header Addr : HEX 08 -- + -- PCI Configuration Space Header Addr : HEX 08 -- - constant CONF_CLASS_CODE :std_logic_vector (31 downto 8) := X"078000";--other comm. device ---constant CONF_REVISION_ID :std_logic_vector ( 7 downto 0) := X"00"; + constant CONF_CLASS_CODE :std_logic_vector (31 downto 8) := X"078000";--other comm. device + --constant CONF_REVISION_ID :std_logic_vector ( 7 downto 0) := X"00"; begin - CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID; + CONF_DATA_08H <= CONF_CLASS_CODE & REVISION_ID; end architecture CONFIG_08H_DESIGN;