X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/696ded12bcd3df47aeefae2c685029a799d84abd..30273618403fd6512926c89f999f97b4722e1709:/dhwk/source/Verg_2.vhd?ds=sidebyside diff --git a/dhwk/source/Verg_2.vhd b/dhwk/source/Verg_2.vhd deleted file mode 100644 index 94aa714..0000000 --- a/dhwk/source/Verg_2.vhd +++ /dev/null @@ -1,31 +0,0 @@ --- J.STELZNER --- INFORMATIK-3 LABOR --- 23.08.2006 --- File: VERG_2.VHD - -library ieee ; -use ieee.std_logic_1164.all ; - -entity VERG_2 is - port - ( - IN_A :in std_logic_vector(1 downto 0); - IN_B :in std_logic_vector(1 downto 0); - GLEICH :out std_logic - ); -end entity VERG_2 ; - -architecture VERG_2_DESIGN of VERG_2 is - -begin - - process (IN_A,IN_B) - begin - - if IN_A = IN_B then GLEICH <= '1'; - else GLEICH <= '0'; - end if; - - end process; - -end architecture VERG_2_DESIGN ;