X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/6f242c755ee8ce5544f230c2390f9cc684685f96..2612d712ff5ef3f17a3d55ae22c71a1913fa1fee:/dhwk/source/config_00h.vhd diff --git a/dhwk/source/config_00h.vhd b/dhwk/source/config_00h.vhd index a0c6ea4..98b4532 100644 --- a/dhwk/source/config_00h.vhd +++ b/dhwk/source/config_00h.vhd @@ -7,22 +7,22 @@ library IEEE; use IEEE.std_logic_1164.all; entity CONFIG_00H is - port - ( - VENDOR_ID :in std_logic_vector (15 downto 0); - CONF_DATA_00H :out std_logic_vector (31 downto 0) - ); + port + ( + VENDOR_ID :in std_logic_vector (15 downto 0); + CONF_DATA_00H :out std_logic_vector (31 downto 0) + ); end entity CONFIG_00H; architecture CONFIG_00H_DESIGN of CONFIG_00H is --- PCI Configuration Space Header Addr : HEX 00 -- + -- PCI Configuration Space Header Addr : HEX 00 -- - constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";--???? ---constant CONF_VENDOR_ID :std_logic_vector(15 downto 0) := X"BAFF";--???? + constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";--???? + --constant CONF_VENDOR_ID :std_logic_vector(15 downto 0) := X"BAFF";--???? begin - CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID; + CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID; end architecture CONFIG_00H_DESIGN;