X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/7b50ad38e2051140636e809d88fa4f6667ef0279..522948a68a77f80b071425e23c7c7ae6a610911e:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index dbb54e9..98add0f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -152,6 +152,23 @@ port ( ); end component; +component generic_dpram +port ( + rclk : in std_logic; + rrst : in std_logic; + rce : in std_logic; + oe : in std_logic; + raddr : in std_logic_vector(11 downto 0); + do : out std_logic_vector(7 downto 0); + wclk : in std_logic; + wrst : in std_logic; + wce : in std_logic; + we : in std_logic; + waddr : in std_logic_vector(11 downto 0); + di : in std_logic_vector(7 downto 0) +); +end component; + --+-----------------------------------------------------------------------------+ --| CONSTANTS |