X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/7b87d14ddc684e6387fea63b0c8551737de13e15..7fb867f8a0428b650acbff9b2d6c623a7cf8c00a:/heartbeat/raggedstone.ucf diff --git a/heartbeat/raggedstone.ucf b/heartbeat/raggedstone.ucf index 2dd2b3f..2f081df 100644 --- a/heartbeat/raggedstone.ucf +++ b/heartbeat/raggedstone.ucf @@ -36,7 +36,7 @@ NET "PCI_CBE<0>" LOC = "F9" | IOSTANDARD = PCI33_3 ; NET "PCI_CBE<1>" LOC = "C10" | IOSTANDARD = PCI33_3 ; NET "PCI_CBE<2>" LOC = "D13" | IOSTANDARD = PCI33_3 ; NET "PCI_CBE<3>" LOC = "E13" | IOSTANDARD = PCI33_3 ; -NET "PCI_CLK" LOC = "A11" | IOSTANDARD = PCI33_3 ; +NET "PCI_CLK" LOC = "AA11" | IOSTANDARD = PCI33_3 ; NET "PCI_IDSEL" LOC = "D14" | IOSTANDARD = PCI33_3 ; NET "PCI_nDEVSEL" LOC = "E12" | IOSTANDARD = PCI33_3 ; NET "PCI_nFRAME" LOC = "C13" | IOSTANDARD = PCI33_3 ; @@ -51,7 +51,7 @@ NET "PCI_PAR" LOC = "A9" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_nREQ" LOC = "C18" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "LED5" LOC = "AB4" | IOSTANDARD = LVCMOS33 ; NET "LED4" LOC = "AA4" | IOSTANDARD = LVCMOS33 ; -NET "IDE1" LOC = "Y1" | IOSTANDARD = LVCMOS33 ; -NET "IDE2" LOC = "M6" | IOSTANDARD = LVCMOS33 ; -NET "IDE3" LOC = "M5" | IOSTANDARD = LVCMOS33 ; -NET "IDE4" LOC = "U2" | IOSTANDARD = LVCMOS33 ; +#NET "IDE1" LOC = "Y1" | IOSTANDARD = LVCMOS33 ; +#NET "IDE2" LOC = "M6" | IOSTANDARD = LVCMOS33 ; +#NET "IDE3" LOC = "M5" | IOSTANDARD = LVCMOS33 ; +#NET "IDE4" LOC = "U2" | IOSTANDARD = LVCMOS33 ;