X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/7c54167d1f3a37b18f51ebe28d8820f04438bbc4..3c76f814e51b2574a0cdc5dc3dc5710f31fbc443:/dhwk/source/pci/verg_2.vhd diff --git a/dhwk/source/pci/verg_2.vhd b/dhwk/source/pci/verg_2.vhd deleted file mode 100644 index bbea0ea..0000000 --- a/dhwk/source/pci/verg_2.vhd +++ /dev/null @@ -1,33 +0,0 @@ --- J.STELZNER --- INFORMATIK-3 LABOR --- 23.08.2006 --- File: VERG_2.VHD - -library ieee; -use ieee.std_logic_1164.all; - -entity VERG_2 is - port - ( - IN_A :in std_logic_vector(1 downto 0); - IN_B :in std_logic_vector(1 downto 0); - GLEICH :out std_logic - ); -end entity VERG_2; - -architecture VERG_2_DESIGN of VERG_2 is - -begin - - process (IN_A,IN_B) - begin - - if IN_A = IN_B then - GLEICH <= '1'; - else - GLEICH <= '0'; - end if; - -end process; - -end architecture VERG_2_DESIGN;