X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/892835a62fffe7195c872419fdfa6b088d717d4c..528d015aa19100f9f97b3469ab7d2aafa43b425e:/heartbeat/source/heartbeat.vhd diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index cae72cd..a396160 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -46,7 +46,7 @@ if (rising_edge(clk_i)) then led9_o <= state(7); counter := counter + 1; if counter = divider then - if state(7) = '1' then + if state(3) = '1' then direction := '1'; end if;