X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/9ca1e76d1e45429bb79201ceaf0c1078ce018ad0..522948a68a77f80b071425e23c7c7ae6a610911e:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 841f82a..98add0f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -165,7 +165,7 @@ port ( wce : in std_logic; we : in std_logic; waddr : in std_logic_vector(11 downto 0); - di : in std_logic_vector(7 downto 0); + di : in std_logic_vector(7 downto 0) ); end component;