X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/a41a25ce3f6cab2912fcc0349d22d02ea57d96c9..ffdaba18b3414c86a37889c31ced80a16676f3f4:/dhwk/source/pci/config_00h.vhd diff --git a/dhwk/source/pci/config_00h.vhd b/dhwk/source/pci/config_00h.vhd deleted file mode 100644 index 98b4532..0000000 --- a/dhwk/source/pci/config_00h.vhd +++ /dev/null @@ -1,28 +0,0 @@ --- J.STELZNER --- INFORMATIK-3 LABOR --- 23.08.2006 --- File: CONFIG_00H.VHD - -library IEEE; -use IEEE.std_logic_1164.all; - -entity CONFIG_00H is - port - ( - VENDOR_ID :in std_logic_vector (15 downto 0); - CONF_DATA_00H :out std_logic_vector (31 downto 0) - ); -end entity CONFIG_00H; - -architecture CONFIG_00H_DESIGN of CONFIG_00H is - - -- PCI Configuration Space Header Addr : HEX 00 -- - - constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE";--???? - --constant CONF_VENDOR_ID :std_logic_vector(15 downto 0) := X"BAFF";--???? - -begin - - CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID; - -end architecture CONFIG_00H_DESIGN;