X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/a41a25ce3f6cab2912fcc0349d22d02ea57d96c9..ffdaba18b3414c86a37889c31ced80a16676f3f4:/dhwk/source/pci/config_space_header.vhd diff --git a/dhwk/source/pci/config_space_header.vhd b/dhwk/source/pci/config_space_header.vhd index 15db761..de57983 100644 --- a/dhwk/source/pci/config_space_header.vhd +++ b/dhwk/source/pci/config_space_header.vhd @@ -27,6 +27,8 @@ end CONFIG_SPACE_HEADER; architecture SCHEMATIC of CONFIG_SPACE_HEADER is + constant CONF_DEVICE_ID :std_logic_vector(31 downto 16) := X"AFFE"; + SIGNAL gnd : std_logic := '0'; SIGNAL vcc : std_logic := '1'; @@ -89,11 +91,6 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is CONF_DATA_08H : Out std_logic_vector (31 downto 0) ); end component; - component CONFIG_00H - Port ( VENDOR_ID : In std_logic_vector (15 downto 0); - CONF_DATA_00H : Out std_logic_vector (31 downto 0) ); - end component; - component CONFIG_04H Port ( AD_REG : In std_logic_vector (31 downto 0); CBE_REGn : In std_logic_vector (3 downto 0); @@ -106,6 +103,7 @@ architecture SCHEMATIC of CONFIG_SPACE_HEADER is end component; begin + CONF_DATA_00H <= CONF_DEVICE_ID & VENDOR_ID; CONF_DATA_04H <= CONF_DATA_04H_DUMMY; CONF_DATA_10H <= CONF_DATA_10H_DUMMY; @@ -142,9 +140,6 @@ begin I4 : CONFIG_08H Port Map ( REVISION_ID(7 downto 0)=>REVISION_ID(7 downto 0), CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0) ); - I3 : CONFIG_00H - Port Map ( VENDOR_ID(15 downto 0)=>VENDOR_ID(15 downto 0), - CONF_DATA_00H(31 downto 0)=>CONF_DATA_00H(31 downto 0) ); I2 : CONFIG_04H Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0), CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),