X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/a76e12bdfc68f955f1cedd0c928fba9372a55d07..1cc8dbebf4ad3ef2d28da957b2830483b2089452:/dhwk/source/fifo_control.vhd diff --git a/dhwk/source/fifo_control.vhd b/dhwk/source/fifo_control.vhd index 1c2d52e..1baa801 100644 --- a/dhwk/source/fifo_control.vhd +++ b/dhwk/source/fifo_control.vhd @@ -43,8 +43,7 @@ entity FIFO_CONTROL is SERIAL_OUT : Out std_logic; SPC_RDY_OUT : Out std_logic; SR_ERROR : Out std_logic; - SYNC_FLAG : Out std_logic_vector (7 downto 0); - PAR_SER_IN : Out std_logic_vector (7 downto 0)); + SYNC_FLAG : Out std_logic_vector (7 downto 0)); end FIFO_CONTROL; architecture SCHEMATIC of FIFO_CONTROL is @@ -128,8 +127,6 @@ architecture SCHEMATIC of FIFO_CONTROL is begin SYNC_FLAG <= SYNC_FLAG_DUMMY; - PAR_SER_IN <= S_FIFO_Q_OUT; - RESERVE <= gnd; I23 : SER_PAR_CON