X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/a76e12bdfc68f955f1cedd0c928fba9372a55d07..e672438961478e94cca88211c9c123defab280c4:/dhwk/source/top.vhd diff --git a/dhwk/source/top.vhd b/dhwk/source/top.vhd index e2f307c..4becb68 100644 --- a/dhwk/source/top.vhd +++ b/dhwk/source/top.vhd @@ -169,7 +169,6 @@ architecture SCHEMATIC of dhwk is SERIAL_OUT : Out std_logic; SPC_RDY_OUT : Out std_logic; SR_ERROR : Out std_logic; - PAR_SER_IN : Out std_logic_vector (7 downto 0); SYNC_FLAG : Out std_logic_vector (7 downto 0) ); end component; @@ -251,7 +250,7 @@ begin LED_4 <= '0'; LED_5 <= not watch; PCI_INTAn <= watch; - trig0(7 downto 0) <= (0 => watch, others => '0'); + trig0(7 downto 0) <= (0 => watch, 1 => R_FIFO_READn, 2 => R_FIFO_WRITEn, 3 => S_FIFO_READn, 4 => S_FIFO_WRITEn, others => '0'); data(0) <= watch; data(1) <= R_EFn; @@ -272,6 +271,8 @@ begin data(16) <= SPC_RDY_IN; data(17) <= SERIAL_OUT; data(18) <= SPC_RDY_OUT; + data(26 downto 19) <= S_FIFO_Q_OUT; + data(34 downto 27) <= R_FIFO_Q_OUT; I19 : MESS_1_TB Port Map ( DEVSELn=>DEVSELn, INTAn=>INTAn, KONST_1=>KONST_1, @@ -313,7 +314,6 @@ begin S_FIFO_RETRANSMITn=>S_FIFO_RTn, S_FIFO_WRITEn=>S_FIFO_WRITEn, SERIAL_OUT=>SERIAL_OUT, SPC_RDY_OUT=>SPC_RDY_OUT, SR_ERROR=>SR_ERROR, - PAR_SER_IN(7 downto 0)=>data(26 downto 19), SYNC_FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0) ); I1 : PCI_TOP Port Map ( FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0),