X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/ac5b827129ac002c0b2caa0d822868383c1416f2..675f45d062971a8e9a17be7aa2f19b102d60c7b0:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index 480de0a..1617eee 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -175,7 +175,6 @@ component phydcm is port ( CLKIN_IN : in std_logic; RST_IN : in std_logic; CLKFX_OUT : out std_logic; - CLKIN_IBUFG_OUT : out std_logic; CLK0_OUT : out std_logic; LOCKED_OUT : out std_logic); end component; @@ -406,10 +405,9 @@ eth_dcm : phydcm port map ( CLKIN_IN => PCI_CLOCK, RST_IN => not PCI_RSTn, - CLKFX_OUT => PHY_CLOCK --- CLKIN_IBUFG_OUT --- CLK0_OUT --- LOCKED_OUT + CLKFX_OUT => PHY_CLOCK, + CLK0_OUT => open, + LOCKED_OUT => open ); end architecture ethernet_arch;