X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/aca9163e335d29dba60cbd797ee946408070cf4f..79de9a8ac035589f97f62a57ad7a9a5f30d7877f:/dhwk/source/par_ser_con.vhd diff --git a/dhwk/source/par_ser_con.vhd b/dhwk/source/par_ser_con.vhd index 155bb27..2f183d9 100644 --- a/dhwk/source/par_ser_con.vhd +++ b/dhwk/source/par_ser_con.vhd @@ -1,4 +1,4 @@ --- $Id: par_ser_con.vhd,v 1.2 2007-03-11 09:14:58 sithglan Exp $ +-- $Id: par_ser_con.vhd,v 1.3 2007-03-11 12:24:35 sithglan Exp $ library ieee; use ieee.std_logic_1164.all; @@ -43,7 +43,7 @@ begin process(PCI_CLOCK) begin - if (rising_edge(PCI_CLOCK)) then + if (PCI_CLOCK'event and PCI_CLOCK = '1') then if ("0000" < COUNT) then COUNT <= COUNT - 1; end if; @@ -115,7 +115,7 @@ begin process(PCI_CLOCK) begin - if (rising_edge(PCI_CLOCK)) then + if (PCI_CLOCK'event and PCI_CLOCK = '1') then SYNC <= SPC_RDY_IN; end if; end process;