X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/aca9163e335d29dba60cbd797ee946408070cf4f..e687cadb7cace489b9920e045cd19ceb2ae8b01d:/dhwk/source/ser_par_con.vhd diff --git a/dhwk/source/ser_par_con.vhd b/dhwk/source/ser_par_con.vhd index f0b7896..6c45577 100644 --- a/dhwk/source/ser_par_con.vhd +++ b/dhwk/source/ser_par_con.vhd @@ -1,4 +1,4 @@ --- $Id: ser_par_con.vhd,v 1.2 2007-03-11 09:14:58 sithglan Exp $ +-- $Id: ser_par_con.vhd,v 1.4 2007-03-11 13:23:11 sithglan Exp $ library ieee; use ieee.std_logic_1164.all;