X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/ad16d1e3db67bf7bf820254517c1df11f2db5569..f7be01477b0e068cbd8bc736401baff92def833b:/heartbeat/source/heartbeat.vhd diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index 6938e3f..e079bbb 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -11,7 +11,10 @@ generic ( port ( clk_i : in std_logic; nrst_i : in std_logic; - led_o : out std_logic + led2_o : out std_logic; + led3_o : out std_logic; + led4_o : out std_logic; + led5_o : out std_logic ); end heartbeat; @@ -28,7 +31,10 @@ if (clk_i'event AND clk_i = '1') then if nrst_i = '0' then counter := (others => '0'); else - led_o <= state; + led5_o <= state; + led2_o <= state; + led4_o <= not state; + led3_o <= not state; counter := counter + 1; if counter = divider then state := not state;