X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/b125f15f3b0eae442aaf821107ace650aa3a722e..7e85e64823c67c08644df189e8a503a9b0409f1a:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 97294be..5345dce 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -126,10 +126,10 @@ port ( wb_int_o : out std_logic; fifo_data_i : in std_logic_vector(7 downto 0); - fifo_data_o : out std_logic_vector(7 downto 0) + fifo_data_o : out std_logic_vector(7 downto 0); fifo_we_out : out std_logic; - fifo_re_out : out std_logic; + fifo_re_out : out std_logic ); end component;