X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/b983c58b8128671dc7e2c8252af87fbb3aea4134..ebba63a9f3199fec28ffd25951257b6619feb8bf:/dhwk_old/source/pfs.v diff --git a/dhwk_old/source/pfs.v b/dhwk_old/source/pfs.v new file mode 100644 index 0000000..4746c7d --- /dev/null +++ b/dhwk_old/source/pfs.v @@ -0,0 +1,11 @@ +module pfs2 (clk, a, b); + input clk; + input a; + output b; + reg b; + + always @(posedge clk) + begin + b <= a; + end +endmodule