X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/bba7a6d51635a1cb7d31bdfc03b1a66ee9df336b..1afff8d481bb2d34c54b1c669b400bf706a9e7b6:/dhwk/source/top.vhd diff --git a/dhwk/source/top.vhd b/dhwk/source/top.vhd index a79c470..dda8be1 100644 --- a/dhwk/source/top.vhd +++ b/dhwk/source/top.vhd @@ -91,6 +91,9 @@ architecture SCHEMATIC of dhwk is signal SERIAL_OUT : std_logic; signal SPC_RDY_OUT : std_logic; signal watch : std_logic; + signal control0 : std_logic_vector(35 downto 0); + signal data : std_logic_vector(63 downto 0); + signal trig0 : std_logic_vector(7 downto 0); component MESS_1_TB Port ( DEVSELn : In std_logic; @@ -221,6 +224,24 @@ component fifo_generator_v3_2 prog_full: OUT std_logic); end component; +component icon +port + ( + control0 : out std_logic_vector(35 downto 0) + ); +end component; + + component ila + port + ( + control : in std_logic_vector(35 downto 0); + clk : in std_logic; + data : in std_logic_vector(63 downto 0); + trig0 : in std_logic_vector(7 downto 0) + ); + end component; + + begin SERIAL_IN <= SERIAL_OUT; SPC_RDY_IN <= SPC_RDY_OUT; @@ -229,6 +250,28 @@ begin LED_4 <= '0'; LED_5 <= not watch; PCI_INTAn <= watch; + trig0(7 downto 0) <= (others => '0'); + data(31 downto 0) <= PCI_AD(31 downto 0); + data(32) <= watch; + + data(33) <= R_EFn; + data(34) <= R_HFn; + data(35) <= R_FFn; + data(36) <= R_FIFO_READn; + data(37) <= R_FIFO_RESETn; + data(38) <= R_FIFO_RTn; + data(39) <= R_FIFO_WRITEn; + data(40) <= S_EFn; + data(41) <= S_HFn; + data(42) <= S_FFn; + data(43) <= S_FIFO_READn; + data(44) <= S_FIFO_RESETn; + data(45) <= S_FIFO_RTn; + data(46) <= S_FIFO_WRITEn; + data(47) <= SERIAL_IN; + data(48) <= SPC_RDY_IN; + data(49) <= SERIAL_OUT; + data(50) <= SPC_RDY_OUT; I19 : MESS_1_TB Port Map ( DEVSELn=>DEVSELn, INTAn=>INTAn, KONST_1=>KONST_1, @@ -321,4 +364,19 @@ send_fifo : fifo_generator_v3_2 empty => S_EFn, full => S_FFn, prog_full => S_HFn); + + i_icon : icon + port map + ( + control0 => control0 + ); + + i_ila : ila + port map + ( + control => control0, + clk => PCI_CLOCK, + data => data, + trig0 => trig0 + ); end SCHEMATIC;