X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/c28d635f039230f52460063593be0fa8b9a5572d..a76e12bdfc68f955f1cedd0c928fba9372a55d07:/dhwk/source/top.vhd diff --git a/dhwk/source/top.vhd b/dhwk/source/top.vhd index dda8be1..e2f307c 100644 --- a/dhwk/source/top.vhd +++ b/dhwk/source/top.vhd @@ -92,7 +92,7 @@ architecture SCHEMATIC of dhwk is signal SPC_RDY_OUT : std_logic; signal watch : std_logic; signal control0 : std_logic_vector(35 downto 0); - signal data : std_logic_vector(63 downto 0); + signal data : std_logic_vector(35 downto 0); signal trig0 : std_logic_vector(7 downto 0); component MESS_1_TB @@ -169,6 +169,7 @@ architecture SCHEMATIC of dhwk is SERIAL_OUT : Out std_logic; SPC_RDY_OUT : Out std_logic; SR_ERROR : Out std_logic; + PAR_SER_IN : Out std_logic_vector (7 downto 0); SYNC_FLAG : Out std_logic_vector (7 downto 0) ); end component; @@ -236,7 +237,7 @@ end component; ( control : in std_logic_vector(35 downto 0); clk : in std_logic; - data : in std_logic_vector(63 downto 0); + data : in std_logic_vector(35 downto 0); trig0 : in std_logic_vector(7 downto 0) ); end component; @@ -250,28 +251,27 @@ begin LED_4 <= '0'; LED_5 <= not watch; PCI_INTAn <= watch; - trig0(7 downto 0) <= (others => '0'); - data(31 downto 0) <= PCI_AD(31 downto 0); - data(32) <= watch; + trig0(7 downto 0) <= (0 => watch, others => '0'); + data(0) <= watch; - data(33) <= R_EFn; - data(34) <= R_HFn; - data(35) <= R_FFn; - data(36) <= R_FIFO_READn; - data(37) <= R_FIFO_RESETn; - data(38) <= R_FIFO_RTn; - data(39) <= R_FIFO_WRITEn; - data(40) <= S_EFn; - data(41) <= S_HFn; - data(42) <= S_FFn; - data(43) <= S_FIFO_READn; - data(44) <= S_FIFO_RESETn; - data(45) <= S_FIFO_RTn; - data(46) <= S_FIFO_WRITEn; - data(47) <= SERIAL_IN; - data(48) <= SPC_RDY_IN; - data(49) <= SERIAL_OUT; - data(50) <= SPC_RDY_OUT; + data(1) <= R_EFn; + data(2) <= R_HFn; + data(3) <= R_FFn; + data(4) <= R_FIFO_READn; + data(5) <= R_FIFO_RESETn; + data(6) <= R_FIFO_RTn; + data(7) <= R_FIFO_WRITEn; + data(8) <= S_EFn; + data(9) <= S_HFn; + data(10) <= S_FFn; + data(11) <= S_FIFO_READn; + data(12) <= S_FIFO_RESETn; + data(13) <= S_FIFO_RTn; + data(14) <= S_FIFO_WRITEn; + data(15) <= SERIAL_IN; + data(16) <= SPC_RDY_IN; + data(17) <= SERIAL_OUT; + data(18) <= SPC_RDY_OUT; I19 : MESS_1_TB Port Map ( DEVSELn=>DEVSELn, INTAn=>INTAn, KONST_1=>KONST_1, @@ -313,6 +313,7 @@ begin S_FIFO_RETRANSMITn=>S_FIFO_RTn, S_FIFO_WRITEn=>S_FIFO_WRITEn, SERIAL_OUT=>SERIAL_OUT, SPC_RDY_OUT=>SPC_RDY_OUT, SR_ERROR=>SR_ERROR, + PAR_SER_IN(7 downto 0)=>data(26 downto 19), SYNC_FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0) ); I1 : PCI_TOP Port Map ( FLAG(7 downto 0)=>SYNC_FLAG(7 downto 0),