X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/c8b3e197e6ec554806e722b220010e7c03830dea..61b5b977ede9f1caa47fa89990d2d6f8de4b1bbe:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index 6530c18..34d0bdc 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -259,7 +259,7 @@ wb_clk_i <= PCI_CLOCK; data(31 downto 0) <= wbm_adr_o; data(63 downto 32) <= (others => '0'); -trig(31 downto 0) <= ( +trig0(31 downto 0) <= ( 0 => wb_stb_i, others => '0' );