X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/c8b3e197e6ec554806e722b220010e7c03830dea..ac5b827129ac002c0b2caa0d822868383c1416f2:/ethernet/source/top.vhd diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index 6530c18..480de0a 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -32,6 +32,8 @@ PORT( MD_PAD_IO : INOUT std_logic; MDC_PAD_O : OUT std_logic; + PHY_CLOCK : OUT std_logic; + LED_2 : OUT std_logic ); end ethernet; @@ -169,6 +171,15 @@ port ( ); end component; +component phydcm is +port ( CLKIN_IN : in std_logic; + RST_IN : in std_logic; + CLKFX_OUT : out std_logic; + CLKIN_IBUFG_OUT : out std_logic; + CLK0_OUT : out std_logic; + LOCKED_OUT : out std_logic); +end component; + signal pci_rst_o : std_logic; signal pci_rst_oe_o : std_logic; signal pci_inta_o : std_logic; @@ -252,14 +263,16 @@ BLA2: FOR i in 3 downto 0 generate PCI_CBEn(i) <= pci_cbe_o(i) when (pci_cbe_oe_o(i) = '1') else 'Z'; end generate; -wb_adr_i <= wbm_adr_o (11 downto 2); +wb_adr_i(11 downto 8) <= (others => '0'); +wb_adr_i(7 downto 2) <= wbm_adr_o (7 downto 2); wb_clk_i <= PCI_CLOCK; -data(31 downto 0) <= wbm_adr_o; -data(63 downto 32) <= (others => '0'); +data(31 downto 0) <= wbm_adr_o; +data(40 downto 33) <= wbm_adr_o (7 downto 0); +data(63 downto 41) <= (others => '0'); -trig(31 downto 0) <= ( +trig0(31 downto 0) <= ( 0 => wb_stb_i, others => '0' ); @@ -345,7 +358,7 @@ Inst_eth_top: eth_top PORT MAP( wb_sel_i => wb_sel_i , wb_we_i => wb_we_i , wb_cyc_i => wb_cyc_i , - wb_stb_i => wb_stb_i , + wb_stb_i => wb_stb_i, wb_ack_o => wb_ack_o , wb_err_o => wb_err_o , m_wb_adr_o => m_wb_adr_o, @@ -389,4 +402,14 @@ port map ( trig0 => trig0 ); +eth_dcm : phydcm +port map ( + CLKIN_IN => PCI_CLOCK, + RST_IN => not PCI_RSTn, + CLKFX_OUT => PHY_CLOCK +-- CLKIN_IBUFG_OUT +-- CLK0_OUT +-- LOCKED_OUT + ); + end architecture ethernet_arch;