X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/de64fba0107b84e71ed24fe891a1e0582ac773c9..7fb867f8a0428b650acbff9b2d6c623a7cf8c00a:/heartbeat/source/heartbeat.vhd diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index a396160..03180ef 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -27,15 +27,15 @@ architecture rtl of heartbeat is begin process(clk_i, nrst_i) -variable counter : std_logic_vector(31 downto 0); +variable counter : std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; variable state : std_logic_vector(7 downto 0) := "00000001"; variable direction : std_logic := '0'; begin if (rising_edge(clk_i)) then - if nrst_i = '0' then - counter := (others => '0'); - else +-- if nrst_i = '0' then +-- counter := (others => '0'); +-- else led2_o <= state(0); led3_o <= state(1); led4_o <= state(2); @@ -63,7 +63,7 @@ if (rising_edge(clk_i)) then end if; counter := (others => '0'); end if; - end if; +-- end if; end if; end process; end architecture;