X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/e8e63794ba14cbc0bea39aa83da92bf3b0975a80..ad16d1e3db67bf7bf820254517c1df11f2db5569:/heartbeat/raggedstone.prj diff --git a/heartbeat/raggedstone.prj b/heartbeat/raggedstone.prj index e0adeac..4b24f2b 100644 --- a/heartbeat/raggedstone.prj +++ b/heartbeat/raggedstone.prj @@ -10,5 +10,5 @@ vhdl work "source/pfs.vhd" vhdl work "source/new_pciregs.vhd" vhdl work "source/pcipargen.vhd" vhdl work "source/new_pci32tlite.vhd" -vhdl work "source/top_pci_7seg.vhd" +vhdl work "source/top_raggedstone.vhd" vhdl work "source/heartbeat.vhd"