X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/ebba63a9f3199fec28ffd25951257b6619feb8bf..522948a68a77f80b071425e23c7c7ae6a610911e:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 7c965c1..98add0f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -131,6 +131,44 @@ port ( ); end component; +component generic_fifo_sc_a +port ( + clk : in std_logic; + rst : in std_logic; + clr : in std_logic; + din : in std_logic_vector(7 downto 0); + we : in std_logic; + dout : out std_logic_vector(7 downto 0); + re : in std_logic; + full : out std_logic; + full_r : out std_logic; + empty : out std_logic; + empty_r : out std_logic; + full_n : out std_logic; + full_n_r : out std_logic; + empty_n : out std_logic; + empty_n_r : out std_logic; + level : out std_logic_vector(1 downto 0) +); +end component; + +component generic_dpram +port ( + rclk : in std_logic; + rrst : in std_logic; + rce : in std_logic; + oe : in std_logic; + raddr : in std_logic_vector(11 downto 0); + do : out std_logic_vector(7 downto 0); + wclk : in std_logic; + wrst : in std_logic; + wce : in std_logic; + we : in std_logic; + waddr : in std_logic_vector(11 downto 0); + di : in std_logic_vector(7 downto 0) +); +end component; + --+-----------------------------------------------------------------------------+ --| CONSTANTS |