X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/ec7a129613549addc5b0dd7d52df29f1f6c082a1..7e85e64823c67c08644df189e8a503a9b0409f1a:/dhwk_old/source/top_dhwk.vhd diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 0e03a31..5345dce 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -109,6 +109,30 @@ port ( ); end component; +component wb_fifo +port ( + clk_i : in std_logic; + nrst_i : in std_logic; + + wb_adr_i : in std_logic_vector(24 downto 1); + wb_dat_o : out std_logic_vector(15 downto 0); + wb_dat_i : in std_logic_vector(15 downto 0); + wb_sel_i : in std_logic_vector(1 downto 0); + wb_we_i : in std_logic; + wb_stb_i : in std_logic; + wb_cyc_i : in std_logic; + wb_ack_o : out std_logic; + wb_err_o : out std_logic; + wb_int_o : out std_logic; + + fifo_data_i : in std_logic_vector(7 downto 0); + fifo_data_o : out std_logic_vector(7 downto 0); + + fifo_we_out : out std_logic; + fifo_re_out : out std_logic +); +end component; + signal wb_adr : std_logic_vector(24 downto 1); signal wb_dat_out : std_logic_vector(15 downto 0); signal wb_dat_in : std_logic_vector(15 downto 0);