X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/f69f908ec2b5edbaaa2885a79f6dbe5011462fef..d71e9a2a963624f566d55a6cf573818603ed1f04:/dhwk/source/pci/top.vhd diff --git a/dhwk/source/pci/top.vhd b/dhwk/source/pci/top.vhd index 86cd575..27cd84c 100644 --- a/dhwk/source/pci/top.vhd +++ b/dhwk/source/pci/top.vhd @@ -265,7 +265,7 @@ begin LED_2 <= not PCI_RSTn; LED_3 <= not PCI_IDSEL; LED_4 <= not PCI_FRAMEn; - LED_5 <= not watch_PCI_INTAn; + LED_5 <= not (watch_PCI_INTAn and (not vio_async_out(0))); PCI_INTAn <= watch_PCI_INTAn and (not vio_async_out(0)); trig0(31 downto 0) <= (