X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/f7be01477b0e068cbd8bc736401baff92def833b..36a53ce255c40f7051820ffbaaac1dd646a83bfb:/heartbeat/source/top_raggedstone.vhd diff --git a/heartbeat/source/top_raggedstone.vhd b/heartbeat/source/top_raggedstone.vhd index b073989..c798294 100644 --- a/heartbeat/source/top_raggedstone.vhd +++ b/heartbeat/source/top_raggedstone.vhd @@ -63,7 +63,11 @@ port ( LED3 : out std_logic; LED2 : out std_logic; LED4 : out std_logic; - LED5 : out std_logic + LED5 : out std_logic; + IDE1 : out std_logic; + IDE2 : out std_logic; + IDE3 : out std_logic; + IDE4 : out std_logic ); end raggedstone; @@ -127,7 +131,11 @@ port ( led2_o : out std_logic; led3_o : out std_logic; led4_o : out std_logic; - led5_o : out std_logic + led5_o : out std_logic; + led6_o : out std_logic; + led7_o : out std_logic; + led8_o : out std_logic; + led9_o : out std_logic ); end component; @@ -198,7 +206,11 @@ port map( led2_o => LED2, led3_o => LED3, led4_o => LED4, - led5_o => LED5 + led5_o => LED5, + led6_o => IDE1, + led7_o => IDE2, + led8_o => IDE3, + led9_o => IDE4 ); end raggedstone_arch;