X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/f80858bad8da614b4a8d0acf454895f627aaa9ec..055a9fc3314c4d925d5095295b577df130dc7f64:/heartbeat/source/top_raggedstone.vhd diff --git a/heartbeat/source/top_raggedstone.vhd b/heartbeat/source/top_raggedstone.vhd index c798294..3d369cf 100644 --- a/heartbeat/source/top_raggedstone.vhd +++ b/heartbeat/source/top_raggedstone.vhd @@ -44,6 +44,7 @@ port ( -- General PCI_CLK : in std_logic; PCI_nRES : in std_logic; + PCI_nREQ : out std_logic; -- PCI target 32bits PCI_AD : inout std_logic_vector(31 downto 0); @@ -161,6 +162,8 @@ end component; begin + PCI_nREQ <= '1'; + --+-----------------------------------------+ --| PCI Target | --+-----------------------------------------+