X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/fe5730c73508bc00a04b1a7a878adf9104f5a11b..b73dc457fcf4f8d5501e3f731f6e2c48a06b0707:/dhwk_old/source/top_dhwk.vhd?ds=sidebyside diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 83d7a01..b88129f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -187,7 +187,7 @@ my_generic_fifo: component generic_fifo_sc_a port map( clk => PCI_CLK, rst => PCI_nRES, --- clr => + clr => '0', din => fifo_din, we => fifo_we, dout => fifo_dout,