]> git.zerfleddert.de Git - raggedstone/commitdiff
clock
authormichael <michael>
Tue, 20 Mar 2007 23:34:59 +0000 (23:34 +0000)
committermichael <michael>
Tue, 20 Mar 2007 23:34:59 +0000 (23:34 +0000)
ethernet/source/top.vhd

index d181c526bad9c216cbb6ff03020f51cd437daf32..184e744cddbf5d683298c32917e9cfe585fbd154 100644 (file)
@@ -277,6 +277,8 @@ end generate;
 
 wb_adr_i <= wbm_adr_o (11 downto 2);
 
 
 wb_adr_i <= wbm_adr_o (11 downto 2);
 
+wb_clk_i <= PCI_CLOCK;
+
 Inst_pci_bridge32: pci_bridge32 PORT MAP(
         wb_clk_i => wb_clk_i ,
         wb_rst_i => '0',
 Inst_pci_bridge32: pci_bridge32 PORT MAP(
         wb_clk_i => wb_clk_i ,
         wb_rst_i => '0',
Impressum, Datenschutz