]> git.zerfleddert.de Git - raggedstone/commitdiff
make it compile
authorsithglan <sithglan>
Sun, 11 Feb 2007 22:14:08 +0000 (22:14 +0000)
committersithglan <sithglan>
Sun, 11 Feb 2007 22:14:08 +0000 (22:14 +0000)
dhwk_old/source/wb_fifo.v

index 5ffd1b177676d65c33cdd372c5779e7aded5d5cd..5f56b648db4e75f326e303a573f99e099b4a53ed 100644 (file)
@@ -13,10 +13,10 @@ module wb_7seg_new (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we
        output wb_ack_o;\r
        output wb_err_o;\r
        output wb_int_o;\r
        output wb_ack_o;\r
        output wb_err_o;\r
        output wb_int_o;\r
-       input reg [7:0] fifo_data_i;\r
-       output reg [7:0] fifo_data_o;\r
-        output fifo_we_i;\r
+       input [7:0] fifo_data_i;\r
+       output [7:0] fifo_data_o;\r
         output fifo_we_o;\r
         output fifo_we_o;\r
+        output fifo_re_o;\r
 \r
        reg [15:0]      data_reg;\r
 \r
 \r
        reg [15:0]      data_reg;\r
 \r
Impressum, Datenschutz