From: michael Date: Sat, 10 Mar 2007 11:40:16 +0000 (+0000) Subject: ucf X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/commitdiff_plain/1edb7242e8b47a0cf5559237fc8b984704f63d1b ucf --- diff --git a/dhwk/dhwk.ucf b/dhwk/dhwk.ucf index 9f9ac54..1d1c550 100644 --- a/dhwk/dhwk.ucf +++ b/dhwk/dhwk.ucf @@ -1,5 +1,33 @@ -NET "LED2" LOC = "AB5" | IOSTANDARD = LVCMOS33 ; -NET "LED3" LOC = "AA5" | IOSTANDARD = LVCMOS33 ; +#NET "KONST_1" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_EFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_Q_OUT" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_HFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_EFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_Q_OUT" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_HFn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "SERIAL_IN" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "SPC_RDY_IN" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "TAST_RESn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "TAST_SETn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_D_IN" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_READn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_RESETn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_RTn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "R_FIFO_WRITEn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_D_IN" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_READn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_RESETn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_RTn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "S_FIFO_WRITEn" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "SERIAL_OUT" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "SPC_RDY_OUT" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "TB_IDSEL" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "TB_nDEVSEL" LOC="" | IOSTANDARD = PCI33_3 ; +#NET "TB_nINTA" LOC="" | IOSTANDARD = PCI33_3 ; + +# Kommentar NET "PCI_AD<0>" LOC = "A5" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<10>" LOC = "E9" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<11>" LOC = "F11" | IOSTANDARD = PCI33_3 ; @@ -32,21 +60,19 @@ NET "PCI_AD<6>" LOC = "D7" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<7>" LOC = "E7" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<8>" LOC = "B8" | IOSTANDARD = PCI33_3 ; NET "PCI_AD<9>" LOC = "F10" | IOSTANDARD = PCI33_3 ; -NET "PCI_CBE<0>" LOC = "F9" | IOSTANDARD = PCI33_3 ; -NET "PCI_CBE<1>" LOC = "C10" | IOSTANDARD = PCI33_3 ; -NET "PCI_CBE<2>" LOC = "D13" | IOSTANDARD = PCI33_3 ; -NET "PCI_CBE<3>" LOC = "E13" | IOSTANDARD = PCI33_3 ; -NET "PCI_CLK" LOC = "A11" | IOSTANDARD = PCI33_3 ; +NET "PCI_CLOCK" LOC = "A11" | IOSTANDARD = PCI33_3 ; NET "PCI_IDSEL" LOC = "D14" | IOSTANDARD = PCI33_3 ; -NET "PCI_nDEVSEL" LOC = "E12" | IOSTANDARD = PCI33_3 ; -NET "PCI_nFRAME" LOC = "C13" | IOSTANDARD = PCI33_3 ; -NET "PCI_nINT" LOC = "B19" | IOSTANDARD = PCI33_3 | SLEW = FAST ; -NET "PCI_nIRDY" LOC = "A13" | IOSTANDARD = PCI33_3 ; -NET "PCI_nPERR" LOC = "D12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; -NET "PCI_nRES" LOC = "A19" | IOSTANDARD = PCI33_3 ; -NET "PCI_nSERR" LOC = "B12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; -NET "PCI_nSTOP" LOC = "A12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; -NET "PCI_nTRDY" LOC = "B13" | IOSTANDARD = PCI33_3 | SLEW = FAST ; +NET "PCI_CBEn<0>" LOC = "F9" | IOSTANDARD = PCI33_3 ; +NET "PCI_CBEn<1>" LOC = "C10" | IOSTANDARD = PCI33_3 ; +NET "PCI_CBEn<2>" LOC = "D13" | IOSTANDARD = PCI33_3 ; +NET "PCI_CBEn<3>" LOC = "E13" | IOSTANDARD = PCI33_3 ; +NET "PCI_FRAMEn" LOC = "C13" | IOSTANDARD = PCI33_3 ; +NET "PCI_IRDYn" LOC = "A13" | IOSTANDARD = PCI33_3 ; +NET "PCI_RSTn" LOC = "A19" | IOSTANDARD = PCI33_3 ; +NET "PCI_DEVSELn" LOC = "E12" | IOSTANDARD = PCI33_3 ; +NET "PCI_INTAn" LOC = "B19" | IOSTANDARD = PCI33_3 | SLEW = FAST ; +NET "PCI_PERRn" LOC = "D12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; +NET "PCI_SERRn" LOC = "B12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; +NET "PCI_STOPn" LOC = "A12" | IOSTANDARD = PCI33_3 | SLEW = FAST ; +NET "PCI_TRDYn" LOC = "B13" | IOSTANDARD = PCI33_3 | SLEW = FAST ; NET "PCI_PAR" LOC = "A9" | IOSTANDARD = PCI33_3 | SLEW = FAST ; -NET "LED5" LOC = "AB4" | IOSTANDARD = LVCMOS33 ; -NET "LED4" LOC = "AA4" | IOSTANDARD = LVCMOS33 ;