From: michael Date: Sun, 11 Feb 2007 22:18:51 +0000 (+0000) Subject: semicolon X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/commitdiff_plain/522948a68a77f80b071425e23c7c7ae6a610911e?ds=sidebyside semicolon --- diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 841f82a..98add0f 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -165,7 +165,7 @@ port ( wce : in std_logic; we : in std_logic; waddr : in std_logic_vector(11 downto 0); - di : in std_logic_vector(7 downto 0); + di : in std_logic_vector(7 downto 0) ); end component;