From: michael Date: Sat, 10 Feb 2007 16:05:37 +0000 (+0000) Subject: Make Heartbeat work X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/commitdiff_plain/82cc0f36680a7da185bde47007d7b677212edeb6 Make Heartbeat work don't do mcs --- diff --git a/heartbeat/Makefile b/heartbeat/Makefile index 0399ea3..4c6ffc7 100644 --- a/heartbeat/Makefile +++ b/heartbeat/Makefile @@ -7,7 +7,7 @@ $(shell mkdir tmp) PROJECT := pci_7seg -all: xst ngdbuild map par trace prom mcs final +all: xst ngdbuild map par trace prom final log: time make all &>build.log @@ -77,3 +77,6 @@ clean: rm -rf _ngo xst rm -rf build.log rm -rf $(PROJECT).unroutes *.xml + +load: + impact -batch xc3s1500.batch diff --git a/heartbeat/source/heartbeat.vhd b/heartbeat/source/heartbeat.vhd index 76f084d..6938e3f 100644 --- a/heartbeat/source/heartbeat.vhd +++ b/heartbeat/source/heartbeat.vhd @@ -5,7 +5,7 @@ use ieee.std_logic_unsigned.all; entity heartbeat is generic ( - divider : integer := 33000000 + divider : std_logic_vector(31 downto 0) := X"01F78A40" ); port ( @@ -32,6 +32,7 @@ if (clk_i'event AND clk_i = '1') then counter := counter + 1; if counter = divider then state := not state; + counter := (others => '0'); end if; end if; end if;