From: michael Date: Sat, 10 Mar 2007 19:12:33 +0000 (+0000) Subject: trigger X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/commitdiff_plain/a570ee15bdb8ffc0a99afb95a3793b2a6278cb49?hp=29e2611580bbb559863091364a79e8fa3187c471 trigger --- diff --git a/dhwk/source/top.vhd b/dhwk/source/top.vhd index 971b0c4..84f04dd 100644 --- a/dhwk/source/top.vhd +++ b/dhwk/source/top.vhd @@ -250,9 +250,28 @@ begin LED_4 <= '0'; LED_5 <= not watch; PCI_INTAn <= watch; - trig0(31 downto 0) <= (0 => watch, 1 => R_FIFO_READn, 2 => R_FIFO_WRITEn, 3 => S_FIFO_READn, 4 => S_FIFO_WRITEn, others => '0'); + trig0(31 downto 0) <= ( + 0 => watch, + 1 => R_FIFO_READn, + 2 => R_FIFO_WRITEn, + 3 => S_FIFO_READn, + 4 => S_FIFO_WRITEn, + 16 => PCI_AD(0), + 17 => PCI_AD(1), + 18 => PCI_AD(2), + 19 => PCI_AD(3), + 20 => PCI_AD(4), + 21 => PCI_AD(5), + 22 => PCI_AD(6), + 23 => PCI_AD(7), + 27 => PCI_FRAMEn, + 28 => PCI_CBEn(0), + 29 => PCI_CBEn(1), + 30 => PCI_CBEn(2), + 31 => PCI_CBEn(3), + others => '0'); + data(0) <= watch; - data(1) <= R_EFn; data(2) <= R_HFn; data(3) <= R_FFn; @@ -275,6 +294,7 @@ begin data(34 downto 27) <= R_FIFO_Q_OUT; data(66 downto 35) <= PCI_AD(31 downto 0); data(70 downto 67) <= PCI_CBEn(3 downto 0); + data(71) <= PCI_FRAMEn; I19 : MESS_1_TB Port Map ( DEVSELn=>DEVSELn, INTAn=>INTAn, KONST_1=>KONST_1,