From: michael Date: Sun, 11 Mar 2007 15:45:01 +0000 (+0000) Subject: watch vio out on LED X-Git-Url: https://git.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/commitdiff_plain/d71e9a2a963624f566d55a6cf573818603ed1f04 watch vio out on LED --- diff --git a/dhwk/dhwk.cpj b/dhwk/dhwk.cpj index b145d9c..1d38452 100644 --- a/dhwk/dhwk.cpj +++ b/dhwk/dhwk.cpj @@ -1,5 +1,5 @@ #ChipScope Pro Analyzer Project File, Version 3.0 -#Sun Mar 11 16:28:31 GMT+01:00 2007 +#Sun Mar 11 16:35:40 GMT+01:00 2007 deviceChain.deviceName0=XCF02S deviceChain.deviceName1=XCF04S deviceChain.deviceName2=XC3S1500 @@ -10,30 +10,33 @@ deviceChain.name0=MyDevice0 deviceChain.name1=MyDevice1 deviceChain.name2=MyDevice2 deviceIds=050450930504609301434093 -mdiAreaHeight=0.8251173708920188 -mdiAreaHeightLast=0.8615023474178404 -mdiCount=2 +mdiAreaHeight=0.8329383886255924 +mdiAreaHeightLast=0.8696682464454977 +mdiCount=3 mdiDevice0=2 mdiDevice1=2 -mdiType0=1 -mdiType1=0 -mdiUnit0=0 +mdiDevice2=2 +mdiType0=6 +mdiType1=1 +mdiType2=0 +mdiUnit0=1 mdiUnit1=0 -navigatorHeight=0.16901408450704225 -navigatorHeightLast=0.16901408450704225 -navigatorWidth=0.1786407766990291 -navigatorWidthLast=0.1786407766990291 +mdiUnit2=0 +navigatorHeight=0.17061611374407584 +navigatorHeightLast=0.17061611374407584 +navigatorWidth=0.1803921568627451 +navigatorWidthLast=0.1803921568627451 unit.-1.-1.username= unit.2.0.0.HEIGHT0=0.2942857 unit.2.0.0.TriggerRow0=1 unit.2.0.0.TriggerRow1=1 unit.2.0.0.TriggerRow2=1 -unit.2.0.0.WIDTH0=0.9976019 +unit.2.0.0.WIDTH0=0.99757284 unit.2.0.0.X0=0.0 unit.2.0.0.Y0=0.0 unit.2.0.1.HEIGHT1=0.7171429 -unit.2.0.1.WIDTH1=0.99880093 -unit.2.0.1.X1=-0.0011990408 +unit.2.0.1.WIDTH1=0.9987864 +unit.2.0.1.X1=-0.0012135922 unit.2.0.1.Y1=0.28428572 unit.2.0.MFBitsA0=0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX unit.2.0.MFBitsB0=00000000000000000000000000000000 @@ -971,9 +974,9 @@ unit.2.0.waveform.posn.9.channel=76 unit.2.0.waveform.posn.9.name=SERRn unit.2.0.waveform.posn.9.radix=1 unit.2.0.waveform.posn.9.type=signal -unit.2.1.6.HEIGHT6=0.6142857 -unit.2.1.6.WIDTH6=0.9616307 -unit.2.1.6.X6=0.016786572 +unit.2.1.6.HEIGHT6=0.2 +unit.2.1.6.WIDTH6=0.34951457 +unit.2.1.6.X6=0.016990291 unit.2.1.6.Y6=0.32714286 unit.2.1.coretype=VIO unit.2.1.port.-1.buscount=0 @@ -984,11 +987,11 @@ unit.2.1.port.1.buscount=0 unit.2.1.port.1.channelcount=1 unit.2.1.port.1.s.0.alias=PCI_INTA unit.2.1.port.1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124] -unit.2.1.port.1.s.0.display=0 +unit.2.1.port.1.s.0.display=1 unit.2.1.port.1.s.0.name=AsyncOut[0] unit.2.1.port.1.s.0.orderindex=-1 unit.2.1.port.1.s.0.persistence=0 -unit.2.1.port.1.s.0.value=1 +unit.2.1.port.1.s.0.value=0 unit.2.1.port.1.s.0.visible=1 unit.2.1.port.2.buscount=0 unit.2.1.port.2.channelcount=0 diff --git a/dhwk/source/pci/top.vhd b/dhwk/source/pci/top.vhd index 86cd575..27cd84c 100644 --- a/dhwk/source/pci/top.vhd +++ b/dhwk/source/pci/top.vhd @@ -265,7 +265,7 @@ begin LED_2 <= not PCI_RSTn; LED_3 <= not PCI_IDSEL; LED_4 <= not PCI_FRAMEn; - LED_5 <= not watch_PCI_INTAn; + LED_5 <= not (watch_PCI_INTAn and (not vio_async_out(0))); PCI_INTAn <= watch_PCI_INTAn and (not vio_async_out(0)); trig0(31 downto 0) <= (