From 0b6ed0d89260ade25dc0c2dc9fb8aa154fabd6a1 Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Mar 2007 08:47:55 +0000 Subject: [PATCH] move config space header into pci core directory --- dhwk/dhwk.prj | 18 +++++++++--------- dhwk/source/{ => pci}/config_00h.vhd | 0 dhwk/source/{ => pci}/config_04h.vhd | 0 dhwk/source/{ => pci}/config_08h.vhd | 0 dhwk/source/{ => pci}/config_10h.vhd | 0 dhwk/source/{ => pci}/config_3Ch.vhd | 0 dhwk/source/{ => pci}/config_mux_0.vhd | 0 dhwk/source/{ => pci}/config_rd_0.vhd | 0 dhwk/source/{ => pci}/config_space_header.vhd | 0 dhwk/source/{ => pci}/config_wr_0.vhd | 0 10 files changed, 9 insertions(+), 9 deletions(-) rename dhwk/source/{ => pci}/config_00h.vhd (100%) rename dhwk/source/{ => pci}/config_04h.vhd (100%) rename dhwk/source/{ => pci}/config_08h.vhd (100%) rename dhwk/source/{ => pci}/config_10h.vhd (100%) rename dhwk/source/{ => pci}/config_3Ch.vhd (100%) rename dhwk/source/{ => pci}/config_mux_0.vhd (100%) rename dhwk/source/{ => pci}/config_rd_0.vhd (100%) rename dhwk/source/{ => pci}/config_space_header.vhd (100%) rename dhwk/source/{ => pci}/config_wr_0.vhd (100%) diff --git a/dhwk/dhwk.prj b/dhwk/dhwk.prj index 05666d3..056bf47 100644 --- a/dhwk/dhwk.prj +++ b/dhwk/dhwk.prj @@ -1,14 +1,15 @@ vhdl work "source/verg_8.vhd" vhdl work "source/synplify.vhd" vhdl work "source/parity_out.vhd" -vhdl work "source/config_wr_0.vhd" -vhdl work "source/config_rd_0.vhd" -vhdl work "source/config_mux_0.vhd" -vhdl work "source/config_3Ch.vhd" -vhdl work "source/config_10h.vhd" -vhdl work "source/config_08h.vhd" -vhdl work "source/config_04h.vhd" -vhdl work "source/config_00h.vhd" +vhdl work "source/pci/config_space_header.vhd" +vhdl work "source/pci/config_wr_0.vhd" +vhdl work "source/pci/config_rd_0.vhd" +vhdl work "source/pci/config_mux_0.vhd" +vhdl work "source/pci/config_3Ch.vhd" +vhdl work "source/pci/config_10h.vhd" +vhdl work "source/pci/config_08h.vhd" +vhdl work "source/pci/config_04h.vhd" +vhdl work "source/pci/config_00h.vhd" vhdl work "source/Verg_4.vhd" vhdl work "source/Verg_2.vhd" vhdl work "source/REG.vhd" @@ -23,7 +24,6 @@ vhdl work "source/steuerung.vhd" vhdl work "source/reg_io.vhd" vhdl work "source/parity.vhd" vhdl work "source/io_mux_reg.vhd" -vhdl work "source/config_space_header.vhd" vhdl work "source/IO_RW_SEL.vhd" vhdl work "source/DATA_MUX.vhd" vhdl work "source/user_io.vhd" diff --git a/dhwk/source/config_00h.vhd b/dhwk/source/pci/config_00h.vhd similarity index 100% rename from dhwk/source/config_00h.vhd rename to dhwk/source/pci/config_00h.vhd diff --git a/dhwk/source/config_04h.vhd b/dhwk/source/pci/config_04h.vhd similarity index 100% rename from dhwk/source/config_04h.vhd rename to dhwk/source/pci/config_04h.vhd diff --git a/dhwk/source/config_08h.vhd b/dhwk/source/pci/config_08h.vhd similarity index 100% rename from dhwk/source/config_08h.vhd rename to dhwk/source/pci/config_08h.vhd diff --git a/dhwk/source/config_10h.vhd b/dhwk/source/pci/config_10h.vhd similarity index 100% rename from dhwk/source/config_10h.vhd rename to dhwk/source/pci/config_10h.vhd diff --git a/dhwk/source/config_3Ch.vhd b/dhwk/source/pci/config_3Ch.vhd similarity index 100% rename from dhwk/source/config_3Ch.vhd rename to dhwk/source/pci/config_3Ch.vhd diff --git a/dhwk/source/config_mux_0.vhd b/dhwk/source/pci/config_mux_0.vhd similarity index 100% rename from dhwk/source/config_mux_0.vhd rename to dhwk/source/pci/config_mux_0.vhd diff --git a/dhwk/source/config_rd_0.vhd b/dhwk/source/pci/config_rd_0.vhd similarity index 100% rename from dhwk/source/config_rd_0.vhd rename to dhwk/source/pci/config_rd_0.vhd diff --git a/dhwk/source/config_space_header.vhd b/dhwk/source/pci/config_space_header.vhd similarity index 100% rename from dhwk/source/config_space_header.vhd rename to dhwk/source/pci/config_space_header.vhd diff --git a/dhwk/source/config_wr_0.vhd b/dhwk/source/pci/config_wr_0.vhd similarity index 100% rename from dhwk/source/config_wr_0.vhd rename to dhwk/source/pci/config_wr_0.vhd -- 2.39.2