From 0d71737f8f2a2c3d4246600dbc0189890df7cbb9 Mon Sep 17 00:00:00 2001 From: michael Date: Wed, 21 Mar 2007 11:25:44 +0000 Subject: [PATCH] typo --- ethernet/source/top.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index 6530c18..34d0bdc 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -259,7 +259,7 @@ wb_clk_i <= PCI_CLOCK; data(31 downto 0) <= wbm_adr_o; data(63 downto 32) <= (others => '0'); -trig(31 downto 0) <= ( +trig0(31 downto 0) <= ( 0 => wb_stb_i, others => '0' ); -- 2.39.2