From 23944ea1558725bf7722ae42f0e5b52ed958eded Mon Sep 17 00:00:00 2001 From: sithglan Date: Wed, 21 Mar 2007 12:06:39 +0000 Subject: [PATCH] mask them out manually --- ethernet/source/top.vhd | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/ethernet/source/top.vhd b/ethernet/source/top.vhd index 34d0bdc..d1a47da 100644 --- a/ethernet/source/top.vhd +++ b/ethernet/source/top.vhd @@ -220,6 +220,7 @@ signal md_pad_o : std_logic; signal md_padoe_o : std_logic; signal int_o : std_logic; signal wbm_adr_o : std_logic_vector(31 downto 0); +signal wb_stb_i_helper : std_logic_vector(31 downto 0); signal m_wb_cti_o : std_logic_vector(2 downto 0); signal m_wb_bte_o : std_logic_vector(1 downto 0); @@ -254,10 +255,14 @@ end generate; wb_adr_i <= wbm_adr_o (11 downto 2); +wb_stb_i_helper(32 downto 8) <= (others => '0'); +wb_stb_i_helper(7 downto 0) <= wbm_adr_o (7 downto 0); + wb_clk_i <= PCI_CLOCK; -data(31 downto 0) <= wbm_adr_o; -data(63 downto 32) <= (others => '0'); +data(31 downto 0) <= wbm_adr_o; +data(40 downto 33) <= wbm_adr_o (7 downto 0); +data(63 downto 41) <= (others => '0'); trig0(31 downto 0) <= ( 0 => wb_stb_i, @@ -345,7 +350,7 @@ Inst_eth_top: eth_top PORT MAP( wb_sel_i => wb_sel_i , wb_we_i => wb_we_i , wb_cyc_i => wb_cyc_i , - wb_stb_i => wb_stb_i , + wb_stb_i => wb_stb_i_helper , wb_ack_o => wb_ack_o , wb_err_o => wb_err_o , m_wb_adr_o => m_wb_adr_o, -- 2.39.2