From 43785e2babfce65fc330bfc42e6db873fc3689f8 Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Feb 2007 22:20:30 +0000 Subject: [PATCH] more infra --- dhwk_old/source/wb_fifo.v | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/dhwk_old/source/wb_fifo.v b/dhwk_old/source/wb_fifo.v index 5f56b64..d79cb57 100644 --- a/dhwk_old/source/wb_fifo.v +++ b/dhwk_old/source/wb_fifo.v @@ -18,15 +18,15 @@ module wb_7seg_new (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we output fifo_we_o; output fifo_re_o; - reg [15:0] data_reg; + reg [15:0] data_reg; always @(posedge clk_i or negedge nrst_i) begin if (nrst_i == 0) - data_reg <= 16'hABCD; + data_reg <= 16'h0000; else - if (wb_stb_i && wb_we_i) - data_reg <= wb_dat_i; + if (wb_stb_i && wb_we_i) + data_reg <= wb_dat_i; end assign wb_ack_o = wb_stb_i; -- 2.39.2