From 71dc61b442fd9fec783d5af3195835e2e56b91be Mon Sep 17 00:00:00 2001 From: sithglan Date: Sun, 11 Feb 2007 22:14:08 +0000 Subject: [PATCH 1/1] make it compile --- dhwk_old/source/wb_fifo.v | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/dhwk_old/source/wb_fifo.v b/dhwk_old/source/wb_fifo.v index 5ffd1b1..5f56b64 100644 --- a/dhwk_old/source/wb_fifo.v +++ b/dhwk_old/source/wb_fifo.v @@ -13,10 +13,10 @@ module wb_7seg_new (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we output wb_ack_o; output wb_err_o; output wb_int_o; - input reg [7:0] fifo_data_i; - output reg [7:0] fifo_data_o; - output fifo_we_i; + input [7:0] fifo_data_i; + output [7:0] fifo_data_o; output fifo_we_o; + output fifo_re_o; reg [15:0] data_reg; -- 2.39.2