From 7b50ad38e2051140636e809d88fa4f6667ef0279 Mon Sep 17 00:00:00 2001 From: michael Date: Sun, 11 Feb 2007 22:10:41 +0000 Subject: [PATCH] fifo component --- dhwk_old/source/top_dhwk.vhd | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) diff --git a/dhwk_old/source/top_dhwk.vhd b/dhwk_old/source/top_dhwk.vhd index 7c965c1..dbb54e9 100644 --- a/dhwk_old/source/top_dhwk.vhd +++ b/dhwk_old/source/top_dhwk.vhd @@ -131,6 +131,27 @@ port ( ); end component; +component generic_fifo_sc_a +port ( + clk : in std_logic; + rst : in std_logic; + clr : in std_logic; + din : in std_logic_vector(7 downto 0); + we : in std_logic; + dout : out std_logic_vector(7 downto 0); + re : in std_logic; + full : out std_logic; + full_r : out std_logic; + empty : out std_logic; + empty_r : out std_logic; + full_n : out std_logic; + full_n_r : out std_logic; + empty_n : out std_logic; + empty_n_r : out std_logic; + level : out std_logic_vector(1 downto 0) +); +end component; + --+-----------------------------------------------------------------------------+ --| CONSTANTS | -- 2.39.2